Informacja

Drogi użytkowniku, aplikacja do prawidłowego działania wymaga obsługi JavaScript. Proszę włącz obsługę JavaScript w Twojej przeglądarce.

Wyszukujesz frazę ""Francky A"" wg kryterium: Autor


Wyświetlanie 1-13 z 13
Tytuł:
Design Space Exploration of Distributed Loop Buffer Architectures with Incompatible Loop-Nest Organisations in Embedded Systems
Autorzy:
Artes, Antonio
Fasthuber, Robert
Ayala, Jose L.
Raghavan, Praveen
Catthoor, Francky
Pokaż więcej
Źródło:
Journal of Signal Processing Systems: for Signal, Image, and Video Technology(formerly the Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology). July 2013 72(1):69-85
Czasopismo naukowe
Tytuł:
Survey of Low-Energy Techniques for Instruction Memory Organisations in Embedded Systems
Autorzy:
Artes, Antonio
Ayala, Jose L.
Huisken, Jos
Catthoor, Francky
Pokaż więcej
Źródło:
Journal of Signal Processing Systems: for Signal, Image, and Video Technology(formerly the Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology). January 2013 70(1):1-19
Czasopismo naukowe
Tytuł:
Run-time Task Overlapping on Multiprocessor Platforms
Autorzy:
Ma, Zhe
Catthoor, Francky
Pokaż więcej
Źródło:
Journal of Signal Processing Systems: for Signal, Image, and Video Technology(formerly the Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology). August 2010 60(2):169-182
Czasopismo naukowe
Tytuł:
Statistical Performance Analysis and Estimation for Parallel Multimedia Processing
Autorzy:
Li, Min
Achteren, Tanja Van
Brockmeyer, Erik
Catthoor, Francky
Pokaż więcej
Źródło:
Journal of Signal Processing Systems: for Signal, Image, and Video Technology(formerly the Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology). February 2010 58(2):105-116
Czasopismo naukowe
Tytuł:
Energy Aware Algorithm and Implementation of SDR Oriented HSDPA Chip Level Equalizer
Autorzy:
Li, Min
Bougard, Bruno
Van Der Perre, Liesbet
Catthoor, Francky
Pokaż więcej
Źródło:
Journal of Signal Processing Systems: for Signal, Image, and Video Technology(formerly the Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology). September 2009 56(2-3):327-340
Czasopismo naukowe
Tytuł:
Exploiting Varying Resource Requirements in Wavelet-based Applications in Dynamic Execution Environments
Autorzy:
Geelen, Bert
Ferentinos, Vissarion
Catthoor, Francky
Toulatos, Spyridon
Lafruit, Gauthier
Stouraitis, Thanos
Lauwereins, Rudy
Verkest, Diederik
Pokaż więcej
Źródło:
Journal of Signal Processing Systems: for Signal, Image, and Video Technology(formerly the Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology). September 2009 56(2-3):125-139
Czasopismo naukowe
Tytuł:
Guidance of Loop Ordering for Reduced Memory Usage in Signal Processing Applications
Autorzy:
Kjeldsberg, Per Gunnar
Catthoor, Francky
Verdoolaege, Sven
Palkovic, Martin
Vandecappelle, Arnout
Hu, Qubo
Aas, Einar J.
Pokaż więcej
Źródło:
Journal of Signal Processing Systems: for Signal, Image, and Video Technology(formerly the Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology). December 2008 53(3):301-321
Czasopismo naukowe
Tytuł:
Synthesis of Runtime Switchable Pareto Buffers Offering Full Range Fine Grained Energy/Delay Trade-Offs
Autorzy:
Wang, Hua
Catthoor, Francky
Miranda, Miguel
Dehaene, Wim
Pokaż więcej
Źródło:
Journal of Signal Processing Systems: for Signal, Image, and Video Technology(formerly the Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology). August 2008 52(2):193-210
Czasopismo naukowe
    Wyświetlanie 1-13 z 13

    Ta witryna wykorzystuje pliki cookies do przechowywania informacji na Twoim komputerze. Pliki cookies stosujemy w celu świadczenia usług na najwyższym poziomie, w tym w sposób dostosowany do indywidualnych potrzeb. Korzystanie z witryny bez zmiany ustawień dotyczących cookies oznacza, że będą one zamieszczane w Twoim komputerze. W każdym momencie możesz dokonać zmiany ustawień dotyczących cookies